From 5b40ea63fa61bcbe9991799e6459301070ceea55 Mon Sep 17 00:00:00 2001 From: Alexandre Prokoudine Date: Fri, 4 Nov 2022 02:28:44 +0300 Subject: [PATCH] Update External Sends docs for 7.1 --- include/external-sends.html | 17 ++++++++++++++++- source/images/external-send-dialog.png | Bin 0 -> 42560 bytes 2 files changed, 16 insertions(+), 1 deletion(-) create mode 100644 source/images/external-send-dialog.png diff --git a/include/external-sends.html b/include/external-sends.html index 748c4d0..26caa1d 100644 --- a/include/external-sends.html +++ b/include/external-sends.html @@ -7,12 +7,14 @@ is a one-way signal routing that leaves all existing signal processing just as it was.

+

Most people will not have much use for this, but it can be useful to experiment with external applications or hardware signal processing applications.

Adding an External Send

+

Context-clicking on the processor box in a @@ -23,7 +25,9 @@

Removing an External Send

+

An external send can be removed in several ways:

+

Altering Send Levels

+

Just below the send in the processor box is a small fader that can be used like all other faders in Ardour to control the gain applied to the signal @@ -45,8 +50,18 @@ it on and off. When turned off, silence will be delivered to the send. When turned on, the signal within the channel strip will be delivered.

+

Editing Send Routing

+

Double-clicking on the send in the processor box will re-display the patchbay - dialog that gives full control over the routing of the send. + dialog that gives full control over the routing of the send, as well as + additional controls: gain fader, panner, signal meter, and phase inverter.

+ +
+ External Send Dialog +
+ External Send Dialog +
+
diff --git a/source/images/external-send-dialog.png b/source/images/external-send-dialog.png new file mode 100644 index 0000000000000000000000000000000000000000..3096f8024f35f3963149314b139adcb51667871c GIT binary patch literal 42560 zcmZ6y2|U!>|39v}O0+3M*(1rGJ-Zfb))*rOA=zn+C4>}Z38@fSk}ZZoS;ijOvM<>O zWgCMr*|QA)^QL=0-`{`kqq>iIpL5=?^ID&;WkU6I)K1be(^64Uozzgjb%%=T2m<`U z(;NlgIXkV>Wxn@6OXH9t8@txm-vJupE}moMm6L-3;%*Wg?-BT=g`FLRQfCz&u%_n zQOMFy90z$(VR7uxjjA>Yj3aB8`-m3Lgw^E={-YM%bcddWaB+&azI&5?Nxo@7;ql|D z@FhVqIv`R}(pK~<@7YeVZ$+WfAz9MS&sG#s?_f4De-f`b^iYR#lwol@jb&Z;18twT zyE`Ob(-LHqH74FOl8~I>n+VAvz7@HTG?H;e^|!$L%XtGVuVm#5qLXH5&KlRBy%eIS zr*hn8Fr+)@jnze!ZPe+#hrJ@vw=W`&zhtHRr2GuO`fRV3?2@-L74)7V>_{L})8={V zrxnU+GuOtOB73hL+UfinGq#SH``X4!EG}V`IlNUG7(QQ;xo{{>?rYbZi|^eGmU|hN zy?;_(R`b!Fz#mp{baBn|OH`z?pX2vF!peHsn!3e&xln82c=R=3q|QPo2K>0fhKp`uDZuW{?T;iKV&QQLP6 z@QE7AUSo<(ZutycH1Dp4mC>0q^z?9^3D)-#mGJj7KBZ z>1z=d`>P$Dyvd&B~P;`b7vY=@aUBBnA@Y&{M@5lR;@Yt(+dzm z_3F}f=1cupjje#tqww^TzkQ)E)%E1N;U5EGu6ooFpOpUjtp!EeWpZYx1gXs)OSsf6blb7O9O<45YLgndf;c#Ro6Q0@rpr;Gk5fV7KIzsJK2 z_rDGkPOoGky`zbw29IB%xg>9-)2xIG)pUhPf4+0p==#SN7K2|Oi8z|bWB)F|(X2EY z2}Z}f{YuMh6s?NE>rXQo`qNK46`gyWNCQ?;1@9!(iqzq2rGXU6`x9gCALvI@=R41`_7K79_yeR7M^@wRSZ(aU0x z?VFT<*2;8_9M@5L^sSY}w8>Yss7}SGGBDau?YOh(xZ`5V5 z;YluG;P9f+!>w8ti!7WKxV1NTt6Uh&>z>N{Q|E}%hFmzKIx!U-pak};CC-+ zl~L^2=fv8M$=6X@9i>EKXueW~RjWu*V)HUx?jGG4WBROPM=umF(_tQ_!N)!=)8&Yp zU7S9ZS;HWk-?gbI43YW@+D`l@iv@qtvxK*#GIcs4*DlR?>?^H=56oTmUQvM?;2Sqw z$FS_#94kUSiEunqj9OUGJ-Vp@+V2)wN|$JUW%7983bNKCk{7((E$Y5Zn(_6+0?n&m zLr~Kv1-y`(+DF_~F^R+gA1cD`uTN?0GQXzYs3rSs5gm1v<`?{I6a6}v*!OjX^SX-c zO;YMnnP0jA%&C|8USgFhGU;T~jQxB6p)i)wh$&pf5}!oZX{tQh;)s&X`IT*(SUAR; zIdMEkc?w^6g zW2lZzrUhKN)8-MFYx#5>i%V5I{?R-My4R~a4yPqUOs4QFtxl+|^bc?!1|24M-E;Ea z#Z|7(u73TBnr16@FwNX%|gTpdCeZ(wS*{n>tHwPf)z&W-n*W~ zDtICnVb)~jtyU1Z!WsaxU*&f~QeP-`(>*5NEe`wrQiI`e5+j*~Zf+G84`iZkN}yTh5{kc3;#A5~>06Ke*)ZcTEK7;44k4gS$RaaG}J z&n*0hz5v->c>`sXYMomo_5%GfM%)U%zNNYI?Jzjviig9SE}DKBg1od)2=uo({4Ak zJzYRDJc;?y7IB`oGr%L((dWbXEF5Q@Zxt!9(KGL#W+c14b4Gy2&9?upu|`=M&01Z zw>;+acA6i2FNPbKHJMN{3RZ_d#^Q_H^@p}eTY;^IQz)u*Y6<}>RnAFq*={haa)d- zy@?is*Sa&0szwNqtr-2AOgFWU3mRN|=~-46hWmTYT82qxfqhF*JoBs<9*$EH3Tuej zeuS}>zg>_rXToz;f~VjstR4o=d~)I?MnK-+ND};r?wa6t|Ca-m*<3xY10rpxv0VEL|MB=fjYyb` zRH{WjT*?TnQ7u(i{4+@!xGajr@;fox_9@p)VxMBqpZCa62K3u{PiUeU@-H9kW%Ijp zDe2LK#>}jBrqynGDJ!w(}$7b)yq6?f19GF?8rxJ_pwh zLU;Okv`z7m-xbgICuoqhW>ANywE8L>-U*pX#V1ITrPSE+zLsBFaBf8|I~tFob+x~E z%s)UA%)EHeQ*#M*nPv61`r*ci6DJrDi!p~gJsbKmg1x4O>u_6PytGtb=fBScNRK~W ziIGJ23$im&P2oPn1mfA`N=7z1I~BJjtnQV4(=6>9m~~uJc+G$qC}%8wI5D?yMd0XV zNi1G~dXB1KK16`(3R@{&zv0BAd7(Eo7i)gTby%)6M0b)zlI(l4-pA&ph$7-J%0cPf zljXqv#UIUfbq&DFr=^mcsN8za=}L*G1T4k-iR|pG=xUROHyG&zd2=0pe6kE^B9>S% zJl6gau)`hCjTnGQMR+xQO|OsHU`70jwe&Kgtpv*Pq(?u^$oUK5&1fo{hY00!&cn&} zdU%37mcP&Ook}VT;jD*`?f&I|h{M)+s?1IRCena|jy+)U;Wo0ucpUWJSc$ zgPlIBx0{DF$kO+olr8m-v=_s$(q8?z5%J*G=D<-lrDEDgHjq zxya(4qWP{`oxA99EUK5cJxJqR`ss9vB zuh#hd1dGYk`T#ul{%q(spg#cK8q`q%ysj;uya$-&k z_?m?T59AKhnZW)X|Rc#)y2(afzR0!yu zfQ4g>x+mu*qe>ae%%eX}#un+Rl`CR7AuZG)6y~5dMpZKPM)Y&H9h%%f{<=1ilPG`{ z26`V4-f1^MOZ8c=;k}DgYpjSsNm_n3+N|6|+9tmywV5

fBphMcJBnt?qLVSS#+D zwr-4AiFg&@xnPIov*^}o>Sa(MECFr^)S}!ry-|G9yf^CJXalf@1q5E^G zXv`B*q;JOx7jg?PHk*9$^spnC-ToY~)))PW`)W8{b(BTWEbbyIaqg)n(A!|_Ti zUTH6LM1Z&QL$I9r{o0SgnVzG^!tj^RaWDY^G<<9EV;9h-cGso<;qm>HU<$6hvH&G~ z#fiNJCo2_;+u{a`;%^(w_-xGEp58erhYDgV1ul8AZeMF{G_4*b|>d5_xNnl!>J0US&9;v)qxfkPxq=>>$9w))! zM3tpq3zY!{%g?$#o>){bTfs8x*XZJCyuWynt>`D%es#;>&PJSRx)~+!(4S|4xD>lP zRA|tsC=6uuG>P+COyG1V#u13M8D%0RpRdc|Tlp%McA)TPpW~SIj0jTizQYIfHt>tj zg$F)Qo>tiT#AiWNMN_m(2m3Y>itXsVf|?VG9dE>mSQO%al-FR*+|20o>MIy3d>Jr^ zT5FH$C?oRirY03&3GN7_X+Nvi&hfxl(+#>@;OEEuqnsiz%aCy7y$pj^@_&81S?apo zd5Ye(!gm83#5I*sLVB9dc>W%rC)-9k`p&4QEu1AXO46RWyh`|HIjliQK~m1k6f7V#HFEvJWAq|v85;=YA%{l@#5p~6Vk zokMP>FWYgT=rIyP%tD*Fp4redBtnwSS{-qFtPz(xcfY zW|HKewG_{mM5Ezew_pAiBXjY#q!!=pb#k!<`sY_gIltc)-^NRN>CT0oA#95X{@LB$ zAaAcJ!tkX#CpRC=t=eZc^yb}c5>qh=qcobjiu~D3Yg6O!vs0uj6qq$z5wL6zcAsvl z#A@i4?lbOc@f_auh(PSQf96YQ1++UKOhJh}6_VSSXGsze4nFBLKpDV?$b_ij-VcaV zZb(1Cb?}|?+~i#6!Ll!+g9<41XDyu-xf2ImdD_so_Lsw# zu^l20BYCkptMz?*K<~ikCkG;Kv%b$hSmD)uacUu$$rJrY3?i8FCLWc+HY$L{)$I^< z1H{zWJQzN9d6qA?2!z)k8o9dU3{ui3iBT9jFDH}OQaZ__ zU2@>vh)YF;^SdDD=Jyb%P%%rW4Ene?x{5=K3(4+18Z@&(=X9=SCAx)`QPxL>o@)#~ zulx=cPPrq^7Q--T;6@AWIS{hDD%>>8+V@^4Nda9gDw~Xy8$4KhKhBg~Jz!|RRhf-n zu-Sia!^%-AWh6a9MtdXzr`aJjrG5E*T~0~%@(nb%q5 zFdbOkz<>z21k~>g;%>g%q*10xQcZltVtK1!zBwDcA;zfPFwdmVu!`)Oxoj=uy$cda zNi6mv7JAL^aLfad_jA;iFxq3cJZuA7bgSxDj_p$rbZF!iu5K^Ucd^XV@VOl=Rx^g* zvtnuI5a4e;3>9;9X|Y^^6gpQA6T=Iws`TT1$! zvB}<#6})SeAm!|kAnEvk-FHEBf>w09)nfMsvVk5k>>?ikATprj)t3(0))Q>9KNg0* zG_hWL$oUXC`go=N!FaXb1JZDDrpJ7LrpBAg59)%B&;0)NEmJLuU4{uE+iApQu{Vdw zA9nT{^%xKpIs?uEt^fsdMG}!WGw6@nw7eB0}$BuThe;``Rx{0PxM&T1jgd{I^6if|i|F2Bp+4V6XlTTYW z7Sa}aJfBy((8L2Xia(1mN&o0H^f|Sa;p2RNo;Zt?(-k*UKWB{yBYL0+VLgsdfHwp> z0q0~wKDZHSQvlFL?02PGEO$0$hi?r>v`Oh&Dw*Q5tk4yT;A+X>}gQ_ z)*Q`!(}4Pm5Vp>_^&v{~LEAg4@oysOAp)0LHwh`a|Brsa;1SG{Vd|>L_7tUY9cQ`8 zm*QdCFn30`=0+TCsnEBEnDO;Xz#3FC2kL%g8$iA$1cSdeqe;LqOS>QoTRUY4tlNG^ zPq8+Of(wUW&W2(J%zfJ<6Pj4=0~hxM$A#6Dz`B37+$c1Z_5S^W!{1KCYaFYSB)h&{ z7R8Z=uxt;rfxWorw?DhtND&hyGmqOs#mU^~F)g zQ|$8Z^!|@vLhJh)BUr)(ImU|Y4ScsZ#(X=ATtl5K3m$4z3 z5WwDFzWyRFB7aS`i&W!sOp0^N%;gP;;}+cvM5$uOfs%l!%|DY-r0wVl{^5Zj^oVmQ zY03vk$&JXbp2?xwdd6{e4lZR?yR<{gnMmMgfX;%4eNMR89QowzctiCI{ z6_BPHp(&4bm!+o09>&~!aq6;&NM~D;+<^Psk8JfPM3nvT%zM{rd$sKGfIYv8Bnbyn zgn$D3sL`JJmLhtltuStH-eQk-R7XEO;2WEq=R5N%pP}d$;I)SGdnIa&Z$gLzDB6h- zOk#vU#3NZ=6C)?POI&x1?QIqD40XV<^0FeB^Sb>|ihBg5y$fNN8=j7L_E9_+Q&jDD zT+*6*7^DaUd-u!u$*#h%P?rHQo0fV81g%Qm?ggUMk)tOH4rNAP_Ik({$zVIy-0kT; zZnUA+8(iu%bhg~-$0z;uk_7g-T_0*=`P8>|kZQIv?njAw*vM7xNzF9HF`Dk8I}I;4 zN|+C!T%?_cC06Rs>AnltndDG;s>*#Ov_58b+g|p4<`sT+_o}+~GV_b6DS5<)%o%Q~ z84}AAc-1(iJ_iVnB0W2;cx7FIAx2iXWfRG5IZ>5VQgu%AI>)mWv^9nK z#h1}PHgo`~edfeQ%FLXv<2*I&qJu0vd2Zx+##&v!goJiD`dFlAe+r0sT%~B$NQst@ z_8uM69d-O2Z@=7{&M*{v+xoQhaHc-L>r`8UBxbNv|Ie%} z0juG*DPU*ct*YU{+*Yp#AnKfb%_pFOzyf)Yv$>wM+cwuCvj!xty zAC{HbxtG#K>7MK^bn5V+?F5lxi0`rg(_4`i$g1tdiUyagZF6Q+2B}fR6oNg!P#%*J z(g_B-3Vo~rJ4*`-O+NwfDHzd~Q+4`QUur2^*(}MGan3-ld#OW?9E3%ymR6!N@=-4N zD(62o#AKERhYOIM(}I&s)1%qQo#>BxSyB&G3qkezn2knLOd8O2sa=WT8Du6;r{vQ( z^{GdbngTR)+gp^!2aLcT^X%1@j>lljTOv8_Y~zx4@9BRad60?+hF5wEPM#Zop0V_? ze^rERvlx9v8;%wp`1D#_veQp1)~ddY0z&@HI5|3lE18L{?(%Qso<41i5ijoC{zCbv zUHhSd{;A86QKN8UTojR?i0koOGS6&KkT98kjGb(D-vjy;Q-os{fAEsB^PM+E&`pl; zNA`fK#joWv362X_o_ao_PtM#+@*K98qQ!Fy@AE{XS3N>CtEeD2GhG41)Ku71V(`Vp zk-18Zj_KYkU1{wEi9$LP9Ac^0U5>DBB3Ku1{)w8K;S31nYit@}j0kSE$W^nh8{@jS zU(ZXKRO8FqgN~nD@awKS5wN#CP6~TkUbRAD5YDe!PpHxnm@Avq?43G0ie)l$k2ZUE zqnkA-RuS=Tu5kAJHSLq`>@UzP!3AGVR7E=Xl2*@O)&UvWC$9h{+aKNAX!m$5T5)e= zeD{IcC65V|^KGFa?9ZN>B;k#1_UNGPvg>#NrU3HWw|JZD$WZ@UM>XkWn;>*V$(wOI zItWc0zvj|TZSCw7`P}tvF+Y~>w3lyE&=94n_#4^G{rVn2V!myt4A`Mj3qqzoqphJ6 zu_q%i);MYvXYuG)@YyJVq!7f2X_K(~H*0+*z*xlm;l};?5PB7zOSw&1lcnw~Dk(Z2F%O+a%RTOtIOd{)EwUK@VwS^D z_<@}C;V1Vi{!E0EBvgcA1Md}0d@5n-tMwA;dE^}^We5)F+1!{s(=LGB$~LP@#s{vJ`R zxet+NWw}-4{n3-mgWI=3?xAjmjG5VN73)4JjR!J>F!CHC!7U4xFLn{TTDT|zn02w3 z8{tHEU~i{}y9YW9FW1L(XfR0J1FLEO0(KQ}SUpU$AtTOQ^8ood(e3ja`Wi+3S1ep0 zL;C-1>1nfxGi&q=d8T7i3wp%+T!s$2auy-jp!4?deuU!-#c=Y{do;njM$RGB2h=|q zX1`9Fdwy?~nG=)?zzbB6u(^Qsq3Syq5WoB{qk?;q$1?Slo!&9h>=y+l!lJ=@QQa%X zWG1h1Kk3(6T)BVm0P)Hab}5%x%E=B&z5F+)nOKZ< zjPr~O>we^0q`dn7t(0I~HBox}r{H8RhyS0zg8%2~ghFEuBHvsJd;ibxUqK9(`njbtU3|=ar0Z<%JKdp>hQ`&a2 z$bN}=sPqPRBlCI8*tu%Ty6H+E!eVIP%eW}$p)xD^S6+~?I|E(@?GbfrXa=G&E~{E< zWSIfV|MI-?IbNM2G6#s%Us442&pvo9(2F|$d-sTb_x5%vslmejyPLM+AUgpJgiM+X z>&A=aH~e&u-zZldNpE!gSnQabgj=yY@y<$%K>iufa63qdSx|i+wJv;_m`!Vs21fmO zYh*k@gE#5Ei;!t7xZI6!#4XxO)cv|j6hpxR<4Vvq+CTlX6jnkEUj2B}DsDrLB(YMr zNqBFHtseeSwjlOp?R3D^Z#oJwVE=-{6AS;%j7{LMxR3S^7Fv@Sz9LTu{wrqqsYWPui0H$&$>(HCTH?7rZP5JhVshYOSIIE^OuKmJ zB_Nxxff*Yo8{p)zD$*6kznq+Yh?LQ7QOBcTtLwz8u!3=7mMJZUt!B?}7HvkrFMb!Z zX?xPEBOXrcvSL!}F;Ic!#u``Fu^1=GdholAJ~?!xEns(r!yw;W;lCGumc~k0@!W9tV>9=Nm2jVv^Fg)c zPK)?5pf{Yhvz3vBK@Aj?mBn`)dI2+Vq&0|{XQcS+^pE{~yKYLE`C*vGEeg)60 zjPI5-H#4GQMlB`ndoHnP$ayVF&@l;1fC9$pBr4D^O07;a-P;q)_3 zs;T?Ps=eLq2j5@wSQ32>M12*z6KgdX8{S*Yr0Ehx`msU6w*sW028_dJjNA} ze#)|FlSWFT9?6l&bDpxX@%uYj!!UM_xZ69X`#}nDAF=?AR2vc}H{m zTSuWG$K&6DqcwSVzhsUcGhDSxc?8N(sUvpd?;r}GLs}*Z&gQL_It~c47z3;&;>qMF)Wj}nlB zZf`|Miw&1JJE}&pJ7>wd&vpf7KLM58i-1h4DG*H3uja1$$h%Fc+c{Wp1vcb>hyO(` z89A8V?OOg^P(e_?M{h*+;iBRm?51pL3 zMXytyY7%<79M>nKlrBTwQJL1h#s|POOQz3y_k-p3{$1>vZeRJR;m^_}>8)~=>Y7ZT zit!<;I}p-Jebtjw-qAEt;!Ni!|L~{szC0l%J%^zFG2c%4yHt($lxM8T02#*nsYprT z(eHcPOTZxiiXSN7T^EHr_lQ%qW1QSTTr@MF;97VngJS8o7%4!yIX?*?@_t~4XdgOb z1YDbCM%hmbzqJ@Yg>yhn>Sw+N9+CR6>f6VHfZ#F3xF#SJP{BK?soQ3^@5caI5YMfn zj;+(=m^T&zqIxn&+{iwxxm~@8>oHU&SW}XD{!_>+xjTo$fxU2w0$)*ds1M})T&U?T zGG5kW4lo|R-U#3O(zzbff}`CK4;A?5q(If!>ad1{SYq}Q;83VS4Xzctj*l%Kkwaym zwOz(4hhDNP4DX)OobH5dSQUG|?8Zyr=%zq*kW{GeLpoywZTqWRA!z=eAi7)n?<_S1 z9lmX`K@Qk^52%5vP6ygfvd(=?Z|su?naHIk;BOZUzk-U(bK&0IrE-t?g|iFd584X0 zNB0k$wnM^mjR98ZK`({f{|9pN%5J&HvYy76ShE2F9Ai`rQX!_|***-@zIK$IN4O@U zo)2wQjK_ESZ<~rQPQ7W@o^GK>*fSXUP)=MBtIsvB8fKSP+1Iz8>BL)ucf+b66GA8L zIe;SMx-}bF3(_-qY$H6F7N!MK_;9n`HfpB9f~^sYJ%`20WzCh{ZngKdSxKtM3=L!A zwd|CD-J$BxdF?Gw>D#CBip`5tY@ZMH6;C+<4|$03XsM&V0+~kk*A@ctY^X~XsowTUu)eISv=seFxc&1{h*zB-zGlu zVI}?xq|_HdsyRP34abDXj z8X)H{T={FMpkL@p4)e3{H+t3+(w@bL61o(Nbxii0#q!EXau?s z74B_z1}w~X0f*ekfau_V>f;Ql%-a*Bnre{sKbUEY_f#4zt8|-g@htzao({Rn%O^;PDMGPOzOqs;zGX_GpS=8j6;oR4CUKFk!xcM0`>DAa@s18hiyIyRIQ;I zp1_Wl9I?GlC-mL?`xjO@*ZbMPG}y=dx1Ha_#n~d*-6re@ux3&piX1*{IJ&z;r1)=r zuQoppKwr{OGsIen)D|RMLwz($yg|6<2pZjx*t z`^~j)rsg;C+){YWSBs#i1YOuop&$W0xWI^zmB9e02FImnAg7H$(90l{CU5u)?9lI1^`-tZkWo#ZX=z9D zxp2U|^JO6F;WRmOpPyoLh>CaX&|Yg`viNho)|QW~I8{{oiW`v8v4)a+66{S;*4h%&pR z((&Pf9><6V0f-rY!x|0disH`in_mLSpOSp++?w@3-Mda z)2;1MO1pLzBERZ1Jr@QoMRe^Lv@3Y$4F74+o7R6w?cS@0J-i1qM}M!=oEG_}*{-#@R5+jV{Dvhh)H98{2rNK|s}q~X5CzG}a1 zh^NsYZn_CzCr%0|3>4pMs0*fp@a?N)!r}^0sSlF{V~hkH<~$8!;HAI056C;ont{{o?~$I)hEaa1KT zqep6?C*$_46coDS<~^IAof+V`}yFFN0?*<-{JY9nhLjR%?j586mY6BW>;I%DkEmm-Q~HH zSr=DdZBL%5^cTNvPv69SWu3ngt@l0XD^yb`Q|C6K<)>KGY_BZ=<}Y|KH}nwx%@_Dt zCN(isK4tj>HS_cNH7+koy@0jsRek2cCemX7_8A^f=6|Nr=`BRtQxmXPzzd6H(@L(Sr#yFz zGv+Bg5a)DiP7~VS+a9@{W-L39@zeq8oYVurYbdnuC#OM&(Or{Z-(z6}vIV=WBjE2r zFJGIHoF~LHg4gT#M;Aqa27eWj%Hd+$y*OO6kBIrz;;f=1;8zVM`1_~(Z;KmS9mlwr zL4;F9M@=K#?Jv^V-UV~GfQ4>5@`!k5#8Proj>J=*T=S_5DCgoMY?%>PlvPqV)B-EI87ttm|F#x#B(+0b|DZG zG=2=ED&g@m=*+v7j|$B$bS;58$p~(^+ygU8=p#EonR1Rwr_4;*AxsexmnW*6a&>Ke8M!2%sf#tR=FVgBieQN&xLhN$u}v6HIdTO+7*YLkJW&%h~Qy2 z%03Zm7zEEbAng$-D!eQ=`CtviR1f1u3`x7i#KjT*&FY93jIwEB4WGkv_L-@C|Gl*9 zDONv8D_`~+1%F`1Pg;=%+YUnb)}&0|IioPs0#`{McEcL{-NWLZatYz zJVy=GyKs=KLwJWVy!AI9gEt1l#h&uS7WB;D;zjLF$616KS9s?6nZ^FtR|A>{0D?;; zGlSBY=YE`ryjf8M=JhGet^I9|lK^7RjJVbm8>p^r%>&oHxwF#geD5TSRPL<QMinz?F&KWUu$YnT+L{6!T|n z#QmGs?rX4(H_~Gf zKcp2e)(~m5$>s=WM#VHwzzUDX8g1HxMhHGIX4X5mas!c{1Q5qCUtmHqQ8C#GbQvB1 zPn+5W%^V>2@69Qipno<9y)A0p_-?Gq_Z)<(Vn`?`cvpx6f9CJlktpM7TUj*0e*ts& z=t;rgm`M9A(99;Si1&ZhY@qb{=^Iypkta*n{DC1L7v>GhbQ~8I6)SolAHoj{9DXjB znR6#YbKN^tUtrWVB62o&(&tdDJCX-owq_SN9TbD*Q1XA=HogZs_c}uq3K$%CqnM$; z@y0^+-@0c{Ss|#*s-quLjHYf=3*{|Rh7^3)Px$&)(Ri>ZFlmZm@1WQ*4+CRdEMw-t zRcL9bl$ZJJfO~#mlxu7WTK~?rhx0%V3C$5KqM>VYBksz&!KUPmPF1PH5FT?s_zH2F z)5o7y)qW(n%K~dUNXR#fY9w68DhmQ`fr%V%%_9(848cfb1aRkBrA1+k@*JSfCveq9 zR!ztZF~gmw(?HL)M+!7Op=6aRz0onhijg)O6u#I&Cy#921A{!1Vlepeng&*LPlHgfTv6kLz6{)~ItMKu^$$Qg$^Q+yY*$YKw7z{u z!NAhNImI|PyPOZ>-=7)45Ih(77w>t4S%n_O+_P2tJ}*0C62qW3%)4%$N>7? z;5sF-n#-5!GU)l2`11-T&V%UPGXTN`NKVHGe3RCnz47b0cVLVHbx>81Qve!9I{G&A zm_v$j?;(}#=8m_u)O3u03qu*gSQ-Jyi8ytE)HWmwPfuF;i{LXr-S^;;*yBIH3oQG9 zV!hpUIw0fPzt7SsKk2zu2hry3V92WyOuKoe$n+`20e)*LV3(JdA7_YR>nLp?&Dkdh zDqev4J2&EZBg_(l{s-741aqk#KHU;SqEi|rdPHk%ATach9Ns3|h2OpQH!Qq9i{MRu z^s(&cQ#!G_c-v%qn;uFZS}V<2UCAe7-ZlO2JhO;FG|ru+Mto}Y#06k z`v)$2Bj|qT)6HLIO3FLmna-C;m5z-M||w?}M9 zNlW*?elYXgH~BMv-{T&`@(TOF%QIWd%R$+X1}1BA#s&>P?Y_&_Bk5xv_o>m!JGG4R zMQD}rpVYpay`xv#bUI#+?C6y2y$CfwRcs{|akM_ZejR0 zo51Uc?NP`9?Z9!mjDgt~sAqDEG6s3$aSJ+H8KqVdI9LK;DzOzpXKDD^nEH}#>%LB% zsp>rthh{3s)#Aravr9mOc&=dJ8;Xb>3Y|V1i_WD@pkmf8N3OK~mIFp^_@V@A2;EsJ z{tk@7NIRzDmi|A@Gfi5^43}c%DkTVc_cy}L&6D&0CZGFRD$L&YmO!!ppIR~F92l#FY=I=I;<^V;vFhFYUoWvmdznsGQ< zxAAdbvVw0-($}uA;<8nF(?Y9YzXkt2m+VLZa!mu&ZkXQUR@Mmi(P&bWQkuE1V6oct z>XG@2Ax6YjTFjW~ni^N|X^^1(?OGvI-%dJ!D7juv?9F0giRmVa7UA=;9H4ei-srQJ zlqeSmGee)09Kl56x-98xCJ2FU<17+(_aJ|lKMueHMIMoCYg*!Tyh6x;4dFlT3*yUq zIVdAdw-uE+dADUKL`bkimGK|{SMYGYdRUYBeRCvH+0G2x{r;iF04TMAsq8~?a6JOs zs`)r*Ol&KFnkU0r*#~%@dy;BPVk?8YYYnAjsMs-X^VbUwrv)cGuDfz;4%1L=PEdy? zz}OVFHfr{qcUMyO2K9NhO2-lc%R={b>uj{3I zU}Vl|XVxdF!l08rLX0S@Aic({DWS-v@PIhG^CDcCV^N!5nOuS(b9wsn@=jc)>^&LCZ3$6-o)!iXL<(vn4zwEELFs!A46F z#Daa!N0)r-)S}+3bM`jJZNETlj(c&+YT(=ll=Y8vv`D%PU?v3NJ;w` z2b)D!Yq0{}p!1{iZSs1LySrH?&H65$UBPE?9yBA8x_rY{&6afCk-hG1SZ zM9S!YhlC`44d!pVNQ8`;#i z8A1yE$(;E|Hr9LBd^oyRM&e^DL0SK6lZ0v*M!nOSlFEHose_N`6utXD2o3ZH1RqamaJU0i7J* zEX{z7fei{VD@6-nf*W!3B6wzcJ22E%Nc0=)4&>}TY+Xin8NLpG_$T7GUH2)n#cJ_Q z!Un=y<$3jkpI-yPK+ z`ode2{5@#X{4%YV0?w{g7B%a`j_WI+)HB>fR@~J@LCMNrW?gB(xn=&jwQWMWx~;9@ zGZSySFby>Xee|j`O=RdyB%FtTjOmQ)%DH!F`ne={W{v13NMx%ciQDCBJ`)Nv{5^hA z>++tNue@t?dMOfhXI95`n~I3@c)23x?jD^guO%Al(2|%9(T4JqN!vNm5-KTP$3{XU zz!(?9^K#_KV3`&wJB28{e71Gs6mvuG^pq(Ehp&vGY*AJ`zIO5uzHO2S8`-5(e3|4|#XbjhLD&8}svdJpgG|Vg@&y#$gKbFDN zU&%m@evHkJ@Q7FYS+~V+fWlckne~f6>OcOhKE|`4$|9@j{Gy`-?T3Oc_2=g_P6cP7 z<+M>hBFo1&{w#dy3{%K7E=~1yh#g;2E7y^81hbCfuP4U>y;P@)knWYOujSmwDV~3< zM;Vt~r;; zO`t*bx6B1$g8R!w%A_DQ*-!Gr8+|m|I2`(E2PMvl^vAyM28mTxE~$KvR*1}yZU@p7 zzkyR_UdKdx2JFitu>)MJ%-_3;)NOhDwmqUtLeYXoTq9S9{njdk`*ChoH%GpQT8yfZ zt{uDJablwiR%i0EHk6%Q2AYcFa@kgqufZ`NrM1QO zLI1{IfCT=z@m$0%wIJ-~e>05;kZ@z&7ha0Dw_W(&gSz_NxWpH93D#wk@Hh!oEXY?rDmt`spYqc4 z0|7BU;e2bM$*`xt!S^D3>BfA~N?fir7;yjgIz&L*viHY#FaXV2)MDM0jq0v0Er}?} z_S_kzQ>iZ(dR<1k!-n|9{sq+0dri~2C6pW9s4}i?^@qI}8_<*=ms3Y(Y`w!cy%b=Z zoH->xc~A8IFkdwBQTX<>6&r98@HtdQh8-mx!th!LJjOiSQyh-(S^n7(>Q~hyVt?!| zw}d}0egCQyIn29IkqM6}F7rAs`8hpFo$TN&>+-$g$S37xIYbizfxNt&EN0um>RZJg z0Ae&ypgzzS7nKX()Ti-gLY+~0scOodr}$}4;7L_!=z$>e8Q(>qc(x{kB7gOwHAUx; z*zrRN!k^%V5FUmK#6TXj8Rro?$}$3*_Oc0{KJEqOnHObvsrp3Ry?$H~l<;e>c*Zb~ zuJud4nD;#6Yj3Aphq#2>RI}AMLau7qe!#*}60W*&Q?7RL+@Xp%nE{JB52rWc)K{DR zyz=`qC)2>NFFM6tFnS|@v73Db8Z-qPRfnU0Z1jGL9KSQuu^9~p{ayEj@Px7+i;Z(b z&v`B&5+2MfXgRW65(hs#%6{03;_X0-_d7?oIU~-ZrZ?U-+(q69*S(g1GU>ENTB%R< zBelDu+$tR?_!#`uBxUUS1b-i)4D>oDF4g@P48=IHw*rdEBc=Ifo42oLunCjyIh23c zxOQSg=Zo*q%%c=KAj`QQ&)>HyO7wDZX@+U?<@W4d+LW~{ zj6Bpc;Hdxd7LaOV414Kr-s_x5HHh9jw3O*kiouf9iOnOKN+qM zj}0$weS4}C#OiX_-FD8YXfnrY6I@SoV16@~P+U@)Oz=Va6P|MaYgUkT&1$sbG%pJk z=Gow@2wUI(iT4?o6uK?9=g&*fMhq|Yci_C1PJfUX7Z2qDdff6E*K@Zx4RtWPR}Yu1 zkJIvF^n^+;38({8rKO2i+krwte1Feeo{yfumXsQG#KPmhbpz!~`U^?_; z=sDg5P|bY*?Zs)Pa11nMT95-K;Qf!}OA07F&l)-^cr z>#qbN7#Esd$<%(I0Dc((u?i%*>hrjs-S2ROnT>G8!snOh=@>*~alk=YE8z+Po9K#k z29p-hoYHEUd3w1SDBnQt03^WaHc0#NDUe-AOXD9tJ4r{X!4ch5Uakk!br;TFH~ge` zk)JTQW{2OlDc@T<%DCS*vp~RW@miQT1og`-Ror zz`3oruO3t~G{4}&)+Ztu5XVljLcKfi3({BmsEmhq^zOSMLl?Qhxif-J{O}xTcoYn#{yQFGjeXh(2fwmexU;Y^9uQ#1w_f|~*cTJd|0`FksQ<6G zFOP?E4gc1ng=k?A;#4Oxwp7+Eouq|QsmEBxo{9=%FI%f+gf>TuwY?dQB{KHHn9@RN zqHGySM3Ob@d)?1a&N;t7-uL~ypZA~6!OZ3Y0!?2CBAGQavKrXs)jN@bW_eNzXAejU)j6+)bQdrkv=8oFZu_*{05C+@V( z2q?c_SPc2Oq+>sx$zKQ+wb&sz``=Q%(Ui>r815x7JCuSt$@<5B?0+7#6yH7ktl$TM zxP#o_RjHTqye~uZ7Me6VVE+LH`1!U~GR<_g;~HQCQl9tg5zKTn@9Ep+`zphD|2SC{ zQY7jsqrDKaZi>@`0+tl!n^uAQ;&y>R_W*|I?~{k0*;q{m#CAt-x{MC>+=0jYw$=c+ zdxq=!@|yaA@^ecMpqiuJ*EhFL3m$P)r+~uAK1^yN%!pIAJ|L!QOYZz3rffA$ZA^aZ zcG~p~er_4zX5M;3A5R~E;v?Tap|K}NOpleXZ7U6P_^fg4_VJ_$NOvSu0wTK z#2-*=e}XBn(rVu?<)SS{+Th!{+V^K!gh-dqwegLMpwet3IW~~2a0b_8pwQ?_;q-$^ zLGNsHAY*n4e+$|P?$*6C#g(l@trk}yTx4>_bih2)TgfR6ij=dD1xls+@9;0JZAp*Gj+;NJUY$WBeFbphWO96ZMfVAiT| z824!|^M|$X7$7T;ie(8F5E!k6qio!vMrsV=DSKE%q6xPH2odXXl&!fmY$tpq96iZX zpK&Tx^a?mzlePj8uwqf6o~^-=+BG-urT+Es6%1|^J)205OP&NDV;wqC)wpAidx8bb~ZiooW89#b^k8b2Zf%2T{cx|uF zwq-irYXDq(D4Ryky*Rb@lXi=sz=#)_m*dK*$U5}8BskUSL7$g*=VYo4{fDPTHj=>r zGHSIS3=ej@x3s@Mn3SCaiu?M1M+41l_GjvPS050DT7OAE#0pa(KR>l2llGNi}XY`df2T{IybxTDzu7+1erq*Lg^B4KWcrZ$w+X8mq4N2A=07Z;c zYNWZyCuq+3GG}sKO;?b^&A=`Fo9{dN1 zeq0M}ny+wt{Ab%sE-O;|Gfz~sWl4}R%%sn9ebx`e#`pY6-s6f1O~#Z>xI(F(sa$wr zh=l=h=p`7c&dA1Yizz9~T|^Qgv(!lics7a-XxJ6V+g%$Q8MM~9d)il6 zB#MsA9odJ2Elq)}pSfH^6R7t0k2ej!KK8B8MCIwoY`#xrX24!aa%?9*RF!tzFUj?%}~o=%996JjHFW z%5Whn_5I@@)La9X%)7)Mk!oLdQ2sv1dyD5=8K>g!5)YBvjc9c)_xFGr;rnDveqdMJ zIOp=f$pT92j-`R!g@d?Aa<9<8QvlaA8M%}4E8Es4CV%_|umLv8u{bx3B9ClDR?&!S zymv-IOoGA`epf`fJ!_q&Tm7M3YYL9mA(o3oz6Cnoe4Yvngze8Ve$L2mser7cvejfg z3_(DtSUg9|UHF+5bs0?J64$u-Ee%tw2HqKP8EDC`<^prcZiIdC)ntCt?E4mBKE6zC zM#1Q&Uxx!0a@y&GCKkiYjt|-N1OY9Fk~1)li<^N)4LGK3&C>--NTbum~1E5T`v+l8Q#e~$hFsY&Y~*s(SL4PO1zBGNv(pUT;K^!8=+>^ds{Z z>aB!53 zx-J_-&i0IajnE1SS`L+48#Q9cw;g9IzVotaZ(9d$0PKu3X?lR;nQMFQ=GGXz$QvbA zRPp{W6inY)e#yI_k5`bgs7PnN2}%Si>Q%jfN7{iy9vMuaTAa6hbmZ!NAkJljcNMUd zw}5Y1vFYG=gAKJHb5bpUR78yLwCs3Xmkm(QfGV(bS7-~nKvm_t-%Sp};#sk2%Skok}GghtYVqYHi6 z9@X-z9`F0&03+;A4E(Vjo$$#|{@iOZ^tv(+xWG4YmPOde)r)a)ac>tZu%lG2F-DI4blE_&xEK4$igiN??dnv^hrltM(cueD zJ>J)j(mMY>l)7DopDpmC=ya$bkYxe2A>ul zD1e~7Dvy=L4>7WeXtmWCVpmd`X7eUJCwS1*Ub&IaN<|AF*K_{_N91~o>ziBKSv#(4 zyo5C@-@<_kU{v4p-xTV`1F_ zS^fH=d8nzyV>J?C2V~#TU^}2I$1x}k#TTujm5HhY5rHbG2RTYbY6auNUsJw9{?MhA z1`y~SsC7BeTyk~*HN1l3IwrYmVu)?f`6YHsCO(9tmHjqUHD+fQU)eAB8p`9V+8{}) z4&FbilH{Xc61yP&ERLe1LLgpZVyqJEn^IdZ?BaDm5yJFqBKrVE7?2hQ&jAz!u{P=U z*loyCPdXiAG$_9rsHLMy)?kL_X|TZ8fhn-UTdMHFYKEk`E8a?oOWfX;`{P?2S|Z5z zLSV%c=D0rN6BleBA9n!8Z<)#=Xd0$@8n|1c5sEfjkdz#WRxCbm7XF3V3+3=vQO65I zh4`SAew*=4!ZzYGc&OAdwzai2D=~Io)Y#nsmen!mIy(I84n_w(q}UY!-reMK5}a36 z+?L5PhWpz0cE+1S8=8kbP;?*yiQ65$-%A(bbBgU+Nov$wQ24arrq$^=LYX0FUkkn( z$({`A`#xuYw}6KT$O`WuyV!02>-K~yAT&=qP9Pp6)D!XGiT5(33w>W+mokprbbdEx z`w?dS&?Mt9LHgiSybsFrKGV}wY!s53A3R>SA6~DwyXO|?vQGmTX`U2tm~W(5Ky?bd zyQ(9Km1~3iBEV}p9mP>XR&_J(3z(62Ch0fKx%_JEex*-p-puEPq9YKyPs-5+x`#Wl zS&TJrFvx!;v<7}JP10GvNe#uWBe~HSX}3ZmYS?gXEl-4n*;wY3iKZd8&bg&dh_3i* z{geB9?_TTwT2mJzzbo+qJlS~s(#=qGaaB_^O^xGzJA5KW>2QtTG zUa64;#BA<-1um@=3A(FHz9PKfL2iZi9*@G~{Z!>cdy0dD0ur`l;ERlV{Ai$XI^+W$ z&)El?LuGNG8sSJ9R1yCQRVFRFx?|Zqsokg)Q;ei{yf3|`u=mx5f2_m`76yMlVo?Y+ z>nyJE@|$0+9v#`v(~^_xN0{{Cp}QoIZFWrt!61}>tm6{ve?GXe9J#PaMgLnpa*4SV zsF}RA=z+jJ4=Z41B3$7rIx)J(GFAVvx(@4sy}W)c=i$L!Qd=h(YLl*q1lKra?UCB_ z6?-Q_7c6xwmY-76_$2u#w=eOdnhsG6^1x7f2F|3E;y>qD7JFx+wXH48k>=OksIg7Q z@0)bq5hyA7$EQxEiZQ|8LI!I^Tki4Zv*MeY|6vV?#$-@xFClYWIyk#Tfbmbgs01 zpcb8fQdQ!DZ$*9?uU%cvi;x8_;Susr24tV`!}QDfG9x1{-JG{8V{{!2LJ)-02IZp* z6GouJkmcljYr=vYzOJ2&)W88Xfu=p#w)wtC^Hp!PpBOFx0OAgy9VyNqaN(_JW*$e) z1;9AJ1W(`vqTK*}p)>M%uC2QmILaz3R8?b8h@ws4Ii)~eQmnpVBsh{0o&Bcrbq49# zIYoIMF8jEvq3pl7}WD$4_Hug_ON9inDLf3$IFw5p9W`H?3?R5Z*|`lPbp#>F61@Q^xo<#o6AXD!x>}JN{B){mDNQT5ykwzCic0F?*j&w$#p_ zy#W`X-OrmwyC8D5E4I$J(?ZG-U(`%B#;G&d_OjSs9O`0$?qQ>y}lH9O5prT@`PTrJT^L@c+zEOR@?#`QQF*Cr|mAR#DeH7oc^{vwxk2# z_?Q4$9)WWKTS^=3RTk5jB%pZKwjd^nr{|HvQ5MVEHZadTRdG(oq|=U^1!z)prnS~b zRtqA3o`QrZRntE0g8;!HF(CT!hq*)U%=WSym)$fa{8H0hMex5q+LFHFq?+;RSo?;@s%n0w7mS`cA8FqzkX%+ViFfMm=0H@tYVbK zGJk9m{`LPLJtvr;uLB0Z3EAX%D=08Pq{JG725oqs#s6Lrbx*>?V7w=$wBT5;E5MuM z`cU#iL}M)>fgC{-L@sUeC-fjLG~p9ky7tzshM8ePQgY}oL{Sp>P-&PiIrew}Y*v+b zbS`zd8T8ON6pY)w!~X>~+K3wN zSwQVFH5Ku?AtVpIuyX62Z7{cAucafLC9%eSqyP6Ob4x+c%??5BhFX2d#8?U-Z2x$& z%gLUxDu4+}PVozt05}=nh7W?jcXsj3TBjGl`a4$4C5E0ytB2O>vJY9kOzqdxs#05s zb1FaqR^NK;ACJ}ZuZea+%C!_4c*JhnGVS%LWl*D9S^~IDb9mc6DYb5BbcGlZkdFDQ zSR6p*tGhs1<#s>7#b&1#W9_cdO*P`jxshl%-kxB$zcTVw3ZRchGn8V_FCJ}GEd`XV zT10h3gCg64SP3XnbAaS=`}WlU&v{bm%j}{I#nv4h?)Q{QqrfFh)Fa;Q= zaG+LSXHr#iKV9s&#Lo_a^5mc1OPYt=2U;QZ;gYaxg%Ua-u5uK02(Ghq2!le=CbjPk zRrH~uj(5=K%%PGcEv~@9L<7;8RBjDo$|+etQUVlk)iSfiBpTv-tpTdLchEQL-)K*1 zOIg^_T_0sfKhAA05K=ApzUf1&s%goyG7h9m%vUnF&S?QC3HkpS_2}?`Gn9cv8UbX#xTha9+$lmr*$rr*G5z}=`a0jQV{xE2%U}c@ zsJmeRw@fMcnSdWE05Z7jZ&kZQ?kW*;@a+YF(0srj_;|Ps;%Wy83R4tNtrZxoEfw&2pG#j_sm~_6az|`_0Q?!HxyI99Ys|E+nY#)%H z{s4t(Iqhva@~uBcX*OOd&sZp}HT^X^K)ozhhr^W)>CkC|en`ThNu8-T#r0R$Rx(Ru zF1sat+Jd+ma4S~(^1g`{F@i!c{1j#|>R?fL+y|C=YbJPRYoNPVU(oc;`kP6$8w9lK zZpK$=K6R`$whz@0mH<_u6s+kcXst5s88i!|sMbLvO-1MjKn&6c4v;7bw9b66WrB!C zy-T;}%rr#{ZQda|4TIt)=5TK(le$l^=hyY*m`*O|`-H3GF;J>{No~NOl zDyhTxkPa1gJ>rFQ4F#NxP4qfXTyzTWLvmvUBjlscl(A8d*#t5C|!tqj_fz z^?d4(BB`Z9D--MPL5r#1dhBkYK@i13JmS)v;;}ACKm;(u1sBeN34iAS`TZJ?H{0bo z9ze7(k6eDa3tA1{OEHQR+n$qg$?$ID*snQJSlFm$fqKlm+D<|&HV zzMrAt`###^XFS1$-(*U6lwo>YBEz1=lziCNRn`BYZt5L2lDl@1A-RD*h$cKuq^7Uc z?_dAc28Rw%`tzH{RQez|y9J)n&tT{SFnwDY5+*2agJiMs1C1lKQ-lA{o*i_!rGaOc zmit)}Z3(7b&e3j*7kQ=gHMP$@<*T5LJhIC=pJ}iptMCR-)m```EGg=sr>&gX*!>*$ z9TauwdE7A}aw(tzs1&7hWdj%Kj}Nt^A9{VG?@v*1OI=Rh;n{M}zOFh=VEe1Nqc~0F zEC=#>)q)#!Pk2F$hV0UF=5S9;h%^HlQH$>4tx$Fh1k9mO5u)QxhPGlWQ1i>--V7&p zD3~h*)jBUF6%Vmg-vr!A8tXjR9-_5yna)1mCX9bd4EQ!5IymfPTen8i5yG}3UMpj` zcj(WkoX9pmK*KlYvaoY^pe?upsz7LlT!%?_B*5I48&Sm}zL^+05q1RtQTS8U@v6bN}yycp;0ynB+~&}61AsO>(g-` zQT;TtV#m+tVybEP?roEQbbXD(rs0C!WY)w=lqaBIfyqXJ?pgLvPJIVI?9QMur8@}` z!vT;b!$JEIN#7(Nv;oX@=YB7k|Cit}Ozui1QbRU=>}7tpfCx2+_W zWNSI57Yv0IZ4JulP{V}VJ#EJ|&`DB3$*xGZYsM#C61SoPTW6I^JsGRa*aB|k_Ac&( z%`=D&f{*`Ti=Xnh`TP}{h_y=2QbvwAm~^|_u?VV!zJk>=TQ+|lfy&GCY>vy_1asUI z?%*@BC^{*|v*7nHyuvg15x%9QOR0V{} zSNCOueLvwDLH7@?VjkQzDqG#tquMeN^^M&kqSQWnpspB9gD5D7Z-xJ>VN$ zHYD2w_OP07#^?(`rwcd9Tp7QK=MBnhY-Q47a-^VLaKp^0Q@eu2cH|nEx}E7Qd6MAtc{|n_55f{japC}hjw2IU+6S5&>Fsn zuuDvOE=Gnv<;Pk&z^E+**V!~DvU}vWX5kWJfHy=+QqmgFhnzS#r*J7rVRM&C$bTLjh?~da&;(xTU&~ zxoscr@{_5tNO^2GiVrSRqR`wD9|`a2z;fEFpmLB4_ofQ(KJ0IMbh zKG*}>s}(jWs3KQ@?w`~m`1p5l0{ID>pni@*GPEVh+l8~rn!%hsKpRIRb6tOYdgcIO z4S$+lhNICH2v4FUMtJcE`ljczRSFDl0Ii;R9Ed?B;78yAHZcwn9<4seYcb4QH@xeB zNCd^lspGo(+t-;i!I591obCnENJ6f_7l;UbELeuB|Sioo(qXpYPYx|qriCCNymETi>XGc3cRNfRG|s#2`24W zFdan?c&u1cJnkbv2UCAm%8mKt7@YBA$pqkpDQf##Cn4e&0Q*ki}N?Gisd0-YXTSe0Ccs5Zp5&E!S(T{1PJe4`52IW!T z>2_np2mZgsOWG?|-BoX0{0jYJsJEzizS5&k9s z9s0N#{yp5j&0r=sYL{byNqz6E-EZp!jSsj_Xb8=+25(iz{ZN6@xYPtY8<&y=EyRG` zMgz%_n+(+iY`V`%^Q{hJUISfibw3Zfx(vQwwZ>HFT&WWG#2VbFFJ*Ja5l#fUj-?mu zXiw1jOtcl3SDo%PTwqe$_zK$+0|d%BAU)UJR!&njMqFazodckU_**)l8cNt;UrykNcF7wg)upu06(lF|{9ny2zjays^7#6<|Y13(rdI|Ktk16Dgjj&B|WGt(3S z-H}3IdBDD!>f~VL`@>-&Lt)=hYiPDifYRCXy*!hSyOGA>bP2_?(YY08CL@;L8wqbV zlTRz1(huFP&!Y3oGLyRpv@_{PN8VrbT}*y>U?-e_m}#04ymH{I!X=kt9E%55Aj<;C zBRq>V8sKufrahJls_?Jx5D(ieGY8Cf$KII}pWVmhf@Ij~>}Mx`YcC4+Cxg5HF*q@F z9fmE46EbjjcT9fui(;xGVGycF74jEc~Gb<1Y2a!gv(9L);qH~(3#a{?B`C+ z22n=AkBXobO3)N^XS~*Hx09eJa8X&7$D}Ii@gN*K>+}Uu8cI?9=VaOPPeIpPuVld4 zUhG!%^RowBR1s?JUZ}38!1WOnQ@YnPbCGJ~E*jI5;H1p3O#sRY{ysv|q@Ey4T^Zcb z<2>aOzvYR?#8d<(=6e!IH@G75--L%A&sw-o*02A?y2@LtpFbT>q-Z{|cFSGWwM8Q7 z6F!JfBwb=R1l{w2BkSo8q;gl}r%3uQZpvW|9)<)O9yY6oqK@D@B%m`wzsf0Kb15;c z?R~>r>y+1!$UTsWzKj$Xwv5{WMbo=__R+NN*dXEK%;OUEuX07SzR5_eRAg);NFBOh znOh-F>N#i&^f8C;AD=h?M$|q)Ii8G<&`u$+)7-(!-sz^2Wo>_sn9u(=g&g$FE6HRz zN0i%fPB84wh_x_<(BEDykK6+X@E;sN$sgOA!6wilD?zdocTXA#;$G4S4k9;G6&8DILbY*>SO$*cu94s**8oHX@JV#%rvv8+F|83QfRau8?Oku8kC$?xRS3%s4 zEwi8)t^VdI-ZZ4C(G$!X5$|q0IW!i@!tSr7U_@TngsnRVNf>->QK}2YPQQLU!PNN( zH+;0$aXakU9Ls|VglL2DA&**zu(OrWST@R2i+|^?KqVG?U*HiI`--?5*tBKw%ntB| zsPtwpQmC7gd?fw!ViKiA+OM9{{B&jXysk>!b>3;CEBn7z%lCEHi4R!+8o(kjmBo%1 z_uxyuU(SK{*Ven`v@%(Xo;@wwo}u;p%+4)Eixkx#hgMoH&a(+Q_~k7fE-b5}5Hw$0 z*ebKs^l+U<;r!59%KGoPPNw!V_;xBY9CcZBS%Cdo!R0B3hX!(rZ46OoityzZUjva= z(p3NFCrMMC_FIy0_fVOu+L_D*kCOT7KtEkECPhls>HlW;rdyRUu#Ukco=WHLo^4*g zvno=HuS&FqDATcf8x+nPd%}esai8M7Ufst&kEZmr;>B$&8s~Y%3P#YrQft9Ic z*h+BWg>MYa5f_gA{S_r{$4IQZNAqsTnIcv2Ql4?V?}Q;DVZ791m@~*r(W&q2=hCjS zvC3}^E*oz$3f3-Iy8h{-)rbapEr1M_genC&#anywEF#F0mZ}Z*Uip zFo^oj6ljH$76z27bLEbq+J{-eHof+Wzk!zpGCCP;E{cZ%-<@Z5Z0=HI^KyDm*b1Ga(T?Sl1LfFlOMPX_Ad%(?_#*Pp)Hh&9@&WtbFB8; z{e4wt=xu$jx=M_Ec~l&`r}L2wG=k!*s&65#^9%xC%jMH?1^I)W}PG zPHTdS4_5a5B&^(Wi<6o(*41=}klI;S{_IrGBZ%xCbr~a;^Jf_n=&P;6iMjG(Vx*U9 z45FqetE=ImJb(2)#k3uU5@6K}*Sn4Va3~$f?NY{ODT8pkP44OXs!e3|US?kU4?C*$ zXuX%h6|omdN#z;r7};yu>$;DU7#0^8#a-{LkLEAI!vKK(L`Vrl%>^tQwLpJ?J6cP> z7mt4}e)IH2V2)JqduNx&M$!islbA<%D`~o7 zZgA%nHH50{lov@{?{e{|If~lW4>GT5x;N@WYYqwEAzZpa6wbQ>91y0f7zNASbI(S1 z?q70@8w(9a*QAEDM0sa^9HJB`nG)hX+Y%m;mcc6~$NLGQzyeQ|foH_Ryu#_xu~KKb z!vpP??#vqRyK5k%+2~;?K+|&76V4t2sQ(I=C=;iC@5gqB08SJVj+=1r@+}hNGT$p~%xs1vP~r<*qqQ;Ba~uU*6y;$}4?Hix*are&>@uH95{2u}qp=#=!1rq09U6N!o(rgQLbb)T;vczY>1Aof` z2Eu%3_t_kWuw`O8`}F4#i4%W#r28Cd($||)czzb&OKpbZHr5Gf;9sks&mS$Vy*A1* z<_VP9lTt{hAm|E9wv3+ ziIu_zx`Amw7S5ep*Bl!Iv7@Rfi=tDy^;mcPXnx@4jZA(sY+uzn5HZtOn<#azvuLt&w_l#sau>r@a9 zv(UrOuZCN_#5ZV5E2;vha(hq}RJcgPy%s6yz|QlAPWubE#D^!j#7*@^2z76MvF_Ej z(<;d+WjrqcjQD)mGzh~1v1{(iX)?VuqZg7Wes2y9)IT?gqSt$YCu1m0TG|sM+cZ=@ z-;J`_S9k8>&a@#5YRvtiTF-N^xo#BTm=y%QNHQok2bhTsM1yd8XcRqAAYnZdmKc(n zKj7CJAR&}t$`r!N{lLL=*~Wq_L`iR@ zfh<&WNkd!A>nhd|&vk(N8C)7l{R);N z+V`8I5NEl*qi}xaB{tvSKRsX)H@^vz|Dcy!n9yI;@O3O5BDp&pWe8%f1(AIc>kQ&2 z{L>0l;_K4heFeY-hTOnF5K`7!l>7|hcFZ*x`s=@eBjnEk9I(|>USlfoB2jGU!{M~s zzCzF&x!R6#FBXI5ZeZatY6rlE8T z{0N{v6Y@SHVOCI@rqJ1tuet1C>^~wz92>diPi=XZ1T2I#u)k|U#z%vGmpKYPL!QUu|{x=$S&R2 z8U&up#ia$Z=91V9@iPpAPN}>XQt7+wTchX|b!BzsX%Ti(#bHMk0J@u{ydY~4$+;VX zI>9y{T?6mJn|@z(y17*p-)1JYhBf)|!%|c@YXvP(z;sN#EaNMxZh?H?_C48veXjwo zHY`>}sTx~agh(X5>Dk-xnKb8}NFk(1L93}W$~9^PM;(Ry1VH9TavuAiYKG2zXHU+W z3&#u7FY1YMza?+jrlz+k=h&=L{#||dqv^;r*owQ0xF5cbJ0Y<^9tYB133N<_tstr* z?SeeolCyDsf(@UA7uY0r*tu*R*0L2#i_FP*fFBg&C_2<3odtFYdQfn*7B{>Ks39KB zk5!s)$g7xg**%&52}coQor1+Nfw1YXBL=}GJ(B}Rx9YxM+n>QluH&|IDNBWM?=CQv z@5+ZCoyw3CMwZJs5t24PItwQRM_E9~fMl}+`r&Wli7x!KzlC-g0jwzB3J(IY!f_CE zcS{6)3xu@$bCs>K=0PHP$IYiGjLy5ScI%_D6xDSKo7N-;@lPBCd(EF{;M)4Rb}01QoWI>Nr6gb?9HQ=cOM;9#8;T>c2X^zk=H>JwE^S1!yy~4LZEQsTZlz_40>NY+{9LC zTG$Y3s_)_c<{_6>^R#$=gG!+Sxhk8aH4;zt=zG02lD>p>l#pXt?@N&i4*B$UmJ|ug z6DrguSKU6S^>EA*G{+*65!V$u`Zxgo?*N{v!aXpSi@p^@M>xQMb(VR>c1we{yujw% zzx(LH0y=0!ha8#jnqS^iVt?u~sAV>FraC|OQ?N*86!(!aJrb+ywr6pY%ro3}E|!s9 zhd*M14s~wL0Cz2bIM4VmWH7(OU3g~zaJ{vgRtYDbQwDy?RuR8J9SKGAvs~f>>Vl=R zI<~dS@stB29&h(-ji5_Yu9qDZ$=OqH{=V*8ayiBkFb{lj`S^mrC(Sk#-O45c2_+rw zUHCItS~YS{W^wgQ7A6u&*D6>MK=`zqg&n{?m2}%Jd9|U~NehfH3yWncb2TFBY3Z9emV{2>R7C>2iE3kVWc)xaqI08Ig23Q4*!hH-5I?A7P}o3JjX}GSM&_ zvc!kPci+wn=WD&C5JCo-Lfl8;b+@VpbVMlx*>yb`dn>N^s(ylSDw>Yce7b-px-7xt z0>%l5Un=tzlbNpNphz9wW_VSe9=TI+hG`sXDlG2(RjPwL-sZVFb@uJ+lI0^cBF%zcl3MFo|JIs(eIL+gf7( zOYhbofvqxL+$RxqqRnuOF?%rH)0mzaK_AL5Z_*zBcKgW9ymD-G!-0|dq%q5(AEY9g z@s3-*%>_j@mq&wi`XwYxRUGC;ptY{Q!(X0Eb;t&Z=ke7p$?Y^=ypnOv1z3_CqW3qw z)IDrWGTGx@;fA(oOCdxDU4|55zUiyPHs_s@>n& z9oAx!{#b*U6QB^i8Fc?wfFK=d z>B!t7OA7I{&P$^#_&HxbzN!;$3N&>oJ3bsxZ+`da-Xvzlpx>w|`(%5b$cOm7R^+ki&ntmYEe-Dm8Li7d@+OOTD$ z8>p3osTZ-EmXE89tjowq;daC)l5T(HX7Ff68ZW~}ul5{dk1Xnbesa`CipaQe(>lwvCaJ;c)|7dgyOuG| zrH6(6-PC@$hF`p?7T$ZQ4yexu?nAb$W6jo0!|(ozqz^shR-QB1&=@vrEI?yF8S9f7 zyZg1+=LQ-En@>@PR{AKHC~A0>*#RiHKO#wjT}8fb64wY8{NkM#&SkxSs?(Sin>SAE zaq0Z2a5oDuK0?TrQOll#GO0~z5GLt-KBLjDanMJ~HZXi!(sM&V&k!Z>9R+%LUiWc2D{AYyHwA;-9OjGTSs{aWu1wJ*s=k5j1h^_|>{5h+e>-$AQ%c4osV$S&E9Q# z-yhF%fZ9MVEtieKP1Z!GDNne>;I#FQdJkqc`c{RcAvwwr zku?NiTiwR|06e8D0CM!VAqWS`_l(s<4T)RK$t(cCbQ#f*YOPW$QjqmExmt#C(j%W} zo{3J#J5ycU+mIy$G@72nEra1riounJ{Ug#BnY6$cWo*-oF7TRAzzJo*XQ3)eH>e&8 zud*(xLn2=CW(|5Q#O?fvZ zy(LSn3=4b_|Gp^8Ms6ya)MYjBLAwXm`q~wY{XS5`q;reAG15PQNj%M@Uh3lVg}NEK ztE4e2QXOq{HO9st)MdRtxIdtXNzIAC{mw^fqr!7HFt|>u#>a1)+9T9(eH#-c44{AI zd{pOD%cM8~^h`f|23^Tg0tpR82JjMZ?A>)#O2_x-_>2wDjygrtMFszL!Uf?P1D@}= z(a57@z^doZ)IHIUu57qtJ!g2v~r$~CIO#|1SdWk0Y z5oj+3N?tBuknf#@*bYukZZ)PX|}d z!G4Z>c6%P$I7jII;il&k-m+3dBjv?GfeXsmn??qfm4IWtH;sLn=NDjcDmEn$|5fjf zL|hwIr1;(CVm&SXmJ1jXs$3e%IKJ@L4cnu;{RlWGlKbi#zGYRL9{%24SG9H_w>;PY zNQc)Sxfq5t`Cn`wl349rpNHZ*bq3tNp5ZNn?rG?HxCw=M>#qfY6UC*)w@^#>+Jj?S z{-}1>p)kM?orWh&xWvWLs&IPha0R-Q<=q+x zqXA)n{Nmk9g8$5K!VT4bq15XWCY8M(Zol+Gpa_KK9`@i$_mWvCq(reco;OCtiV&@# zFr6r$3W^mjP6G3E`wa53p`3tmZTBRN4Sf>mhuFS-XTa1?eut(H8rNLn3xaTNp~K_2frpmYi>c%#t34_`)mU^)MR9G zRBS%my1%Q=F9{<~Jszy&f3hkYbIY;f;IYYvIg93_*M^_as#NuHiA~3V5m;9NX?q;? z(2?=NI<*x4IpSP7hGY1PM7<4AYpM=c-RS9pPEk1FxpFFhW5n&)YB2m-f0iQLY<`jJ z0=PvpoNz%BnSvP0Xtt1uC1+6oB0yu{mzvdV#NO@^C1r5iAP-OAtrHL&KR~Y(0RTM< z)}DP1Nv-N{C)E<6BKta{4lY3XH4>*HR&nn}AsJn*AXH2wYN3`0HP4qBbs%o1S=fT* zc#M_&9&*17V)9KU?INM$eQ$F34zCv&AV8&n4ZV5$ z7%oJfTI&8~hbWLMzQv*AJ{`Vk$ICg%f1NfXgW^U>Q6!1|0M|c+>whJnY#3@q@Q)cD zOGJ1EA7AnjE?ip@+zj^|F97P{u6({NP|mi+ADEbLd~hKGipMGjN;r39eEnJW73z(l zkOUvZk&+D;0(6}J05|>m_j5?4sIxlUU9%?$$|avqt*a7)t8zjuSq9+9zW%FF!w?VR zQkfiB{)&kDjVe7Lj@i2zv6vwJtk|xV3iTw4Hjfa=39`Ru000h!ME(71lk1u( zdToh?6ut`6&e*OM@${_UjR}7!h)fdwD!)~_22?Dw$OhhY@U*z>;+jU-C_Z~>vaZEqG4|`4iSLFoWY^D zq1Q3NmtO@CisMd-;VJ`C%0z~lbDC8bKwF6L)0`3}BLE)P_8GwQz&FAQideSQ_je

Tx?xF&`O_b|Ox497K~Iy84&S+jgu07`&K z0kDDo1am|*H-Bibf>Jcum2V%zy_D;e$jmkM!6RLhvO#pV`iSaS;24%dEr02!XO~Lh zIyy7yt5Z){&Oj`6Sfp5P2V7!n0_Zuecqo`78L4uV(0dlJwi!zC!hrUC1^}8VP5kJj zSkG6)CGd7bHRPX#5FUxYHY8;h+y$)=hDZX?-bkxU1sE&56Htadl8`6a_)MKK!0QmE zg)|Rho~%IL7)0wr=qAq?y#Whqa{YOP#vrWr0&^JFKdbUPRblU)TPIM)-yg0VFM+F@ zU;hE>{kJ;#w+5R*KpL#49)W*QTFxYT7GMV??WI{VrOrUnb{Tvuu^Sj>J8Ph30`Aks zPbQ1&3b?RBG7wzi_2FWry#lEcyP+uDxTdSI(E8Vhh%zLCQwPFGlhWV@%;5s_7IsWp zqch?zq5}>9JE$%PxO7w@ol7)7p!uZrd0ZHC)I*wImQTFjw7DD8Z{`VGt9BJSD74*w?)#mOeh?-6rywv zgS64MW~u@a1&AXEoW@m;_GcROoky4dy10IX&V7>u(uak!n9ZS5Z#ppYv#GV$YjLeg z{!4*@<4nB09EO1163B21AViw946%qw#K-sXk21{2$cPDLN^kfgp=Hz=V0486=Svw- zTs;NTDai+4ES=g?Bbd+xp7%Mz)LKN)VSo39y#=?4ZqNuNZ2fE?)}?t_@aE-AAfcqE zz&#MiTOJj&k=ZPP1m$^s+Z?xPYG1dUIOi=?e!XdXPeG+j1vrq4oPk&;=nVxsD=f|2 zMRxXGTA%40`O92zK#7`djFQ&Q+(KsA%vdLT(03`YT5$*CN9)1N$;8v9(77EQeW%Ye)<2#*GyXTk*B~cs}Cf z8#wTyo76HhS;Ce9cS%IuYmf#Q+m9h&!>|F1*y9`@h2=Kbb>es|qP z|5~d5)A{`0JQN=JzrSGcfGKB_=W_n~muK1j`!6`WAZ!2q7fB~7+x_>yDBJOC(CPpG z-=TTG`F}k0)UlwFj_|U2Sy`x&^xzD=z_=IP7XTv9Nq?SDloy8|TukkSG z6(ev$fnq*`PcnYc3TCm#9FF!<6{zv!J29uN(EJ!&Wlc<2#eiYev!Ov2@H}pQVS{6^ zr;KBX*{o!I++B{{HBI3AQL%YnM$8O>9$Vz%l@U%bXTrm1eXJ$ij-gbh4o2SdihF%! z-Hg?LitJ(O&q?0H;@r2S_yo?U^s~%bIuyZ2-;A1p!joREXP7EMgGZNp%wcnLa>7Ld zsf$GbdI+f0*-sVm@2@TkcyL;b)D)?Ai9ou$pT)_MT)`+J_Z!(MFK3vFlOP{L;m32r zcjFe3>>hO7QtbxlbI%`)5BnM-*1>T1z&JIv(LNLMGVAs;#`2_L-`my1pd2D& zJjq~5>!-_mO=s|F{ZUY(vzpRBLA1VO*m;%4`00za#qZ|mvA7*3BY;H0HLBh#9MI;k zf5NQLKj~4#)S)fs61`S55A76A8@{uZ^zyj-1^BTVfGWPK*$``+*?*p0qD;$c`$7}e zDWFBJm0!g0BL_X`xW4Qvfs`vU3z2B}lINFW18XApdYb+b=#9-?X3H_^r_v27Bj|x` zc@;9QlxrwByJk;zj?Sr&Ik4x;?2<6&gpK}Cuk7b&AJu}NAoRSZ9`oEwGXd4P`gqvv z0;Th63nTia&NpJ0q{T7zIFi{Da$=kMs<2X|8C*&kZEb|ARe)E-l3oy8=(7ony0UbAShako` zzJSq7BcrTdMj}zIly+iUa$ehb*8$9c7n&zwf9Weu#p678a zY5yFHtkjOU&W0I+;P7Ue*f%nXKK&g};~Qo@ob~k+ZG&{BXCU;Q8S?jJtRp5^wx=2& zB#o6cJ*PhCrD<%F%UjzF!d9iGc;i)CN7#-XL1{GASB#FRb@btu4e$t8EGdiD5!;zw z(YWmU)8naD90i8J16ya`g}QQz=~nys-zi|#njiMPjB$_4zUZ?BGfKp;%LfnglO~7$ zUYHuLBTrwhv(Lw9g!bxb>b`7x$9WCR#uKjB%YG_AcgpmgoBEuFH8Lx54%-(!5d)rw z+03tL^gC`@FRWMxJ9ZN7Xwt&c`RXFo$j*0yfCNb-H>{aP2@AcN$**k}lrIWI++skFHRfO?$)a4(o zRkXfKI(miN1Zz-XT%@9t|kxu#&0zjJ20$PY@5Uq8phWO4!$L za`2R*hz;mKaga26s=v-E#T!-!)LGlL|KhATe7hrUymG>H_KQ^WWtM-YdWKoNedLO* zVHm@NH>9s++b>HbST;SSraF0U`pS&2t(aY7cknEUaS5&A<+O#FBh6>xujr`A-vb3k zbKh^oY1U?2Ec@Fl9y^*pODu}MiB7sb=Uq+j=68FHHYti&U;S9InZyu-cd>k4GoS5z z_HBk`)CJXh(G?@pS1N>PoA$)!TVYA*Lh$)x(wr{5xrl@{%LzXv;%O)GMMH!z-`>Ubem$|^Ub zKRfCwMy52n#ADvaq0;^tWid_ZhLv$Ug&xV7OiDTfHpsN9S$E z5=v<*6l`@m)yUlUkTcu7=*zJ2g?Y2U<$bp>_d!pq?q8S^jd~V)M!~G@Ir5pAhKibx zJWneo6@#I^x9ZxDpS?5H`ftbEx`f~RAfGC^BHeSPqGpz-6MeTg9PYPUiyDU*zAthGzQIUn?3G@LmQ#+;QcZ}!L3=}gWT}KBeX4I=4OM4fT`Vbz# Ox82BeGh>tess9DPy3eiv literal 0 HcmV?d00001 -- 2.37.2